scanlime046 – Icestudio LED Matrix Driver Part 2

In this second part of the HUB75-style display driver in Verilog and Icestudio, we’ll debug and reorganize the existing gateware into something modular that we can build upon, with nice and stable Binary Code Modulation for brightness control.

scanlime046 – Icestudio LED Matrix Driver Part 2

The Icestudio editor and the entire build toolchain are free and open source software!

The FPGA board and adapter PMOD are part of the iCEBreaker CrowdSupply campaign, which was successfully funded! This is a great little FPGA dev board, and a platform designed to help more people get started with FPGAs using open source tools.

This project is available on Github.

Thank you so much for watching, subscribing, and sharing my videos. And a special thanks to my supporters on Patreon, where recurring donations make this content possible.

For an alternative to youtube and twitch, check out Diode Zone.

If you’d like some of those cool scanlime stickers or Servo AF stream gear, check out the shop.

For previous episodes, check out the full scanlime playlist.

Each episode is compiled together from many livestreams which you can hang out with on the companion scanlime-in-progress channel.

Follow @scanlimelive for live streaming announcements.